-A A +A
Type: 
Journal
Description: 
Integration of excimer laser annealing (ELA) process for power MOSFET devices is currently studied and evaluated within the frame of the IST project FLASH (Fundamentals and applications of laser processing for highly innovative MOS technology), funded by the European Commission. Final goal of the project is to show that ELA can be industrialized in the context of semiconductor device fabrication. In this work, the recent advancements of the FLASH project are described, including the formation of n+/p source junctions in both one and two dimensions. The experimental results are analysed by using a two-dimensional simulation program, specifically developed by the consortium. The simulation tool allowed a deeper insight of the ELA process and has been successfully used to optimise the process parameters. Finally, data on electrical integrity of the test devices are also presented, showing a yield for optimum …
Publisher: 
Elsevier
Publication date: 
10 May 2006
Authors: 

G Fortunato, V Privitera, A La Magna, L Mariucci, M Cuscunà, BG Svensson, E Monakhov, M Camalleri, A Magrì, D Salinas, F Simon

Biblio References: 
Volume: 504 Issue: 1-2 Pages: 2-6
Origin: 
Thin solid films